• Gambar 10-13. Register A dan B, Penjumlah-Pengurang dan Rangkaian Keluaran
  • Register B dan register Keluaran
  • Debounser (Peredam Pelantingan) Clear – Start
  • Debounser Langkah- Tunggal
  • Debounser Manual – Auto
  • Rangkaian Detak Dan Catu Daya
  • Penyimpanan Mikroprogram
  • Gambar 10-16. Kendali SAP-1 yang dibuat dengan “Micro Programming” Pencacah yang dapat dipreset
  • Komputer sap (Simple-As Possible; artinya sederhana mungkin) telah dirancang untuk anda sebagai seorang pemula dalam bidang in




    Download 2.38 Mb.
    bet30/30
    Sana04.04.2017
    Hajmi2.38 Mb.
    #3205
    1   ...   22   23   24   25   26   27   28   29   30

    Makroinstruksi

    Instruksi-instruksi yang pernah kita pergunakan dalam pemrogaman (LDA. ADD. SUB,….) kadang-kadang disebut makroinstruksi untuk membedakannya dari mikroinstruksi. Setiap makroinstruksi SAP-1 tersusun dari mikroinstruksi. Setiap makroinstruksi SAP=1 tersusun dari tiga mikroinstruksi. Misalnya makroinstruksi LDA terdiri dari tiga macam mikroinstruksi seperti tercantum dalam tabel 10-3. Guna menyederhanakan bentuk mikroinstruksi itu kita dapat menggunakan bentuk heksadesimal sebagaimana terlihat pada tabel 10-4


    Tabel 10-3

    Makro

    Keadaan

    Cp Ep M

    1 1 A EA

    SU EU B O

    Yang aktif

    LDA

    T4

    0 0 0 1

    1 0 1 0

    0 0 1 1

    M, 1




    T5

    0 0 1 0

    1 1 0 0

    0 0 1 1

    , A




    T6

    0 0 1 1

    1 1 1 0

    0 0 1 1

    Tidak ada

    Tabel 10-4



    Tabel 10-5 Mikroprogram SAP-1




    Mikro program SAP-1 disajikan dalam tabel 10-5 yang merupakan daftar dari setiap makro instruksi dan mikroinstruksi-mikroinstruksi yang diperlukan bagi pelaksanaannya. Tabel ini merangkum rutin-rutin eksekusi untuk instruksi SAP-1. Tabel yang sama dapat pula dipakai bagi perangkat instruksi tingkat lebih lanjut.

    TABEL 10-6. ROM KENDALI SAP-1




    Alamat

    Isi

    Rutin

    Bit aktif

    0H

    5E3H

    Fetch

    Ep, M

    1H

    BE3H




    Cp

    2H

    263H




    , 1

    3H

    1A3H

    LDA

    M, 1

    4H

    2C3H




    , A

    5H

    3E3H




    Tidak ada

    6H

    1A3H

    ADD

    M, 1

    7H

    2E1H




    , B

    8H

    3C7H




    A, u

    9H

    1A3H

    SUB

    M, 1

    AH

    2E1H




    , B

    BH

    3CFH




    A, u, u

    CH

    3F2H

    OUT

    u, o

    DH

    3E3H




    Tidak ada

    EH

    3E3H




    Tidak ada

    FH

    X

    X

    Tidak dipakai

    CON = Cp Ep M 1 1 A EA Su Eu B o



    Tabel 10-7. ROM ALAMAT


    Alamat

    Isi

    Rutin

    0000

    0011

    LDA

    0001

    0110

    ADD

    0010

    1001

    SUB

    0011

    XXXX

    Tidak ada

    0100

    XXXX

    Tidak ada

    0101

    XXXX

    Tidak ada

    0110

    XXXX

    Tidak ada

    0111

    XXXX

    Tidak ada

    1000

    XXXX

    Tidak ada

    1001

    XXXX

    Tidak ada

    1010

    XXXX

    Tidak ada

    1011

    XXXX

    Tidak ada

    1100

    XXXX

    Tidak ada

    1101

    XXXX

    Tidak ada

    1110

    1100

    OUT

    1111

    XXXX

    Tidak ada






    10-7 DIAGRAM SKEMATIK SAP-1
    Dalam pasal ini kita akan mengkaji diagram skematik lengkap dari SAP-1. Gambar 10-12 sampai 10-15 memperlihatkan semua serpih, semua rangkaian, dan sinyal-sinyal yang terjadi didalamnya. Anda harus menggunakan gambar-gambar ini dalam mengikuti seluruh pembahasan selanjutnya. Lampiran 3 memberikan penjelasan tambahan untuk beberapa serpih yang lebih rumit.

    Pencacah Program

    Serpih-serpih C1, C2 dan C3 dari gambar 10-12 adalah pencacah program. Serpih C1, yaitu IC 74LS5107, adalah flip-flop JK majikan–budak berjumlah rangkap yang menghasilkan 2 - bit alamat bagian atas. Serpih C2, yaitu IC 74LS107 yang lain, menghasilkan 2 - bit alamat bagian bawah. Serpih C3 yaitu IC 74LS126, adalah susunan empat saklar tiga – keadaan yang normalnya terbuka; saklar ini berfungsi sebagai keluaran – keadaan dari pencacah program.

    Pada awal operasi komputer, CLR yang rendah mengosongkan (mereset) pencacah program menjadi 0000. Selama keadaan T1 , EP yang tinggi akan menempatkan alamat pada bus W. Selama keadaan T2 CP yang tinggi dikirimkan kepencacah program untuk menambaha cacahannya ketika merima tepi negatif sinyal CLK (ekivalen dengan tepi positif CLK) pada pertengahan keadaan T2 ini.

    Pencacah program berada pada kondisi tidak aktif selama keadaan T3­ sampai T6.



    MAR

    Serpih C4, atau IC 74LS173, adalah sebuah register bufer 4 bit yang berfungsi sebagai MAR. Keluaran tiga-keadaan diubah menjadi menjadi keluaran dua-keadaan dengan mengetanahkan penyemat 1 dan 2. Keluaran dari MAR tidak perlu mempunyai modus tiga-keadaan mengingat keluaran MAR ini tidak disambungkan ke bus W.




    Multiplekser 2-ke-1

    Serpih C5 yang merupakan ID 74LS157 adalah sebuah multiplekser nibble 2-ke-1. Nibble sebelah kiri (penyemat 14, 11, 5,2) berasal dari register saklar alamat (S1). Nibble sebelah kanan (penyemat 13, 10, 6, 3) berasal dari MAR. Saklar RUN-PROG (S2) memilih nibble yang akan diteruskan kepada keluaran serpih C5. Apabila S2 pada posisi PROG maka pemilihan jatuh pada nibble yang berasal dari register saklar alamat. Apabila S2 pada posisi RUN, nibble yang terpilih adalah yang keluar dari MAR.





    Gambar 10-12 . Pencacah Program, Memori dan Register Instruksi

    Gambar 10-13. Register A dan B, Penjumlah-Pengurang dan Rangkaian Keluaran




    RAM 16 x 8

    Serpih C6 dan C7 adalah IC 74189. Setiap serpih merupakan sebuah RAM statik 16 x 4. Bersama-sama, kedua serpih menghasilkan sebuah memori baca-tulis (read-write memory; RAM) 18 x 8. S3 adalah register saklar data (8-bit), dan S4 merupakan saklar baca-tulis (saklar tombol-tekan). Untuk memprogram memori, S2 dipasang pada posisi PROG; yang akan membuat masukan CE rendah (penyemat 2). Saklar data dan alamat kemudian diatur supaya memberikan kata-data dan kata alamat yang benar. Dengan menekan sejenak tombol dari saklar baca-tulis akan dihasilkan WE yang rendah (penyemat 3) dan pengisian memori.

    Sesudah program dan data berada di dalam memori, saklar RUN-PROG (S2) dipindahkan ke posisi RUN untuk mempersiapkan operasi komputer.

    Register Instruksi


    Serpih C8 dan C9 adalah IC 74LS173. Setiap serpih merupakan sebuah register bufer tiga-keadaan dengan 4-bit. Dua serpih ini berfungsi sebagai register instruksi. Dengan menghubungkan ke tanah penyemat 1 dan 2 dari C8, keluaran tiga-keadaan akan diubah menjadi keluaran dua-keadaan, I1 I6 I5 I4. Nibble ini akan diteruskan kepada dekoder instruksi di dalam pengendali-pengurut. Sinyal E1 mengendalikan keluaran C9, yaitu nibble bagian bawah dari register instruksi. Bilamana 1 menjadi rendah, nibble ini akan ditempatkan pada bus W.

    Akumulator

    Serpih C10 dan C11 yang merupakan IC74LS173, adalah akumulator (lihat Gambar 10-3). Penyemat 1 dan 2 pada kedua serpih itu diketanahkan untuk memperoleh keluaran dua-keadaan yang berhubungan dengan rangkaian penjumlah-pengurang. Serpih C12 dan C13 adalah IC 74LS126; saklar tiga–keadaan ini menempatkan isi akumulator pada bus W apabila EA tinggi



    Penjumlah-Pengurang

    Serpih C14 dan C15 adalah 1C 74LS86. gerbang EXCLUSIVE – OR ini merupakan pembalik (inverter) terkendali. Apabila SU menjadi rendah, isi dari register B akan dikeluarkan. Bilamana SU tinggi yang dikeluarkan adalah komplement – 1 dari isi register, dan angka 1 ditambahkan pada LSB untuk memperoleh komplement - 2.


    Serpih C16 dan C17 adalah 1C 74LS83, dan masing-masing merupakan sebuah penjumlah-penuh 4-bit yang disusun menjadi rangkaian penjumlah atau pengurang 8-bit. Serpih C18 dan C19 yang merupakan 1C 74LS126, mengubah jawaban 8-bit ini menjadi keluaran tiga-keadaan untuk menjalankan bus W.

    Register B dan register Keluaran

    Serpih-serpih C20 dan C21 yang merupakan 1C 74LS173, bersama-sama membentuk register B. Register ini mengandung data yang akan dijumlahkan atau dikurangkan dengan isi akumulator. Penyemat 1 dan 2 pada kedua serpih diketanahkan untuk menghasilkan keluaran dua – keadaan yang dihubungkan dengan rangkaian penjumlah data pengurang.

    Serpih-serpih C22 dan C23 adalah 1C 74LS173 dan membentuk register keluaran, yang berfungsi mengeluarkan peraga biner untuk menyajikan data yang telah diproses.


    Debounser (Peredam Pelantingan) Clear – Start


    Pada Gambar 10-14 debounser “clear-start” menghasilkan dua keluaran : CLR untuk register instruksi dan CLR untuk pencacah program serta pencacah lingkar. CLR juga disalurkan kepada C29, yaitu flip-flop yang mengaktifkan detak. S­5 merupakan sebuah saklar tombol-tekan. Apabila ditekan, kedudukan saklar berpindah keposisi CLEAR, dan membangkitkan sinyal CLR tinggi dan CLR rendah. Bila S5 dilepaskan, kedudukan saklar kembali ke posisi START, menghasilkan CLR rendah dan CLR tinggi.

    Perhatikan bahwa separuh dari isi serpih C24 digunakan dalam rangkaian debounser clear – start dan separuh yang lain digunakan dalam rangkaian debounser langkah-tunggal (single – step). Serpih C24 adalah 1C 7400, yaitu kemasan empat gerbang NAND 2- masukan.


    Debounser Langkah- Tunggal

    SAP-1 dapat beroperasi dalam dua ragam yaitu ragam manual dan ragam, otomatik. Dalam ragam manual, kita menekan dan melepaskan tombol S6 guna membangkitkan satu pulsa detak. Bilamana S6 ditekan, CLK menjadi tinggi : dan ketika dilepaskan, CLK menjadi rendah. Dengan katalain debounser langkah- tunggal dari Gambar 10-14 membangkitkan satu keadaan T pada saat kita menekan dan melepaskan tombol. Ini memungkinkan kita untuk menelusuri melalui keadaan-keadaan T yang berbeda selama melakukan trouble shooting mencari sumber kesulitan dan mengatasinya atau debuging (mengidentifikasi kesalahan dalam program mengidentifikasikan perangkat lunak/perangkat keras/trouble shoot..




    Debounser Manual – Auto

    Saklar S7 adalah sebuah saklar single-pole double Throw (disingkat SPDT) yang dapat bertahan pada posisi MANUAL atau pada posisi AUTO. Dalam posisi MANUAL, tombol langkah-tunggal (single step) yang aktif. Bilamana



    Gambar 10-14. Rangkaian-Rangkaian Catu Daya, Detak dan Clear
    saklar dalam Posisi AUTO komputer akan beroperasi Secara otomatik. Dua dari gerbang NAND dalam serpih (C26 digunakan untuk menghindari efek pelantingan (bouncing) pada saklar MANUAL – AUTO. Dua gerbang NAND lainnya dari C26 merupakan bagian dari rangkaian NAND-NAND yang mengemudikan detak langkah–tunggal atau detak otomatik untuk memberikan keluaran CLK dan CLK.


    Bufer Detak

    Keluaran pada penyemat 11 dari C26 menggerakkan bufer-detak (clock buffers). Seperti dapat dilihat daslam Gambar 10-14, dua buah imverter digunakan untuk menghasilkan keluaran CLK dan sebuah inverter untuk keluaran CLK. Tidak seperti kebanyakan Serpih-serpih yang lain, C27 adalah 1C standar TTL dan bukan piranti Schottky daya rendah (lihat daftar komponen SAP-1, Lampiran 4). Penggunaan standar TTL disebabkan oleh keperluan untuk menggerakkan 20 beban TTL Schottky daya – rendah, sebagaimana ditunjukkan dalam Tabel 4-5.

    Jika anda memeriksa lembaran data 74LS107 dan 74LS173 mengenai arus masukan, anda dapat menghitung jumlah beban TTL Schottky daya- rendah (LS) pada sinyal detak dan sinyal kosong (clear) sebagai berikut.
    CLK = 19 beban LS

    CLK = 2 beban LS

    CLR = 1 beban LS

    CLR = 20 beban LS


    Ini berarti sinyal-sinyal keluar CLK dan CLK dari C24 (standar TTL) cukup memadai untuk menggerakkan beban-beban TTL Schottky daya rendah . Demikian pula sinyal-sinyal keluaran CLR dan CLR dari C24 (standar TTL) dapat menggerakkan beban-bebannya.


    Rangkaian Detak Dan Catu Daya

    Serpih C28 adalah sebuah pewaktu (timer) 555. 1C ini mengeluarkan gelombang persegi berprekuensi 2 kHz dengan siklus kerja (duty cycle) 75 persen. Sebagaimana dibahas sebelumnya flip-flop yang menghdiupkan detak (start-the clock flip-flop), C29, membagi frekuensi sinyal tersebut menjadi 1 kHz dan menurunkan siklus kerjanya menjadi 50 persen.

    Rangkaian catu daya (power supply), terdiri dari sebuah penyearah jembatan gelombang penuh (full- wave bridge rectifier) dengan sebuah penapis (filter) kapasitor. Tegangan dc yang melalui kapasitor 1000 F ini berharga sekitar 20 V. Serpih C30, sebuah 1C LM340T-5,adalah sebuah regulator tegangan yang menghasilkan keluaran stabil + 5V.


    Dekoder Instruksi

    Serpih C31 yang merupakan sebuah inverter heks, menghasilkan sinyal komplemen dari bit-bit kode-operasi, I 7 I6 I5 I4 (lihat Gambar 10-15). Lalu, serpih C32, C33 dan C34 menterjemahkan kode – operasi menjadi lima sinyal keluaran : LDA, ADD, SUB, OUT dan HLT. Pelu diingat bahwa hanya satu diantara sinyal-sinyal ini yang menjadi aktif pada sesuatu saat. (HLT aktif – rendah, dan yang lain aktif – tinggi).





    Gambar 10-15. Dekoder Instruksi, Pencacah Lingkar dan Matriks Kendali

    Pada waktu instruksi HLT berada pada register instruksi, bit-bit I7I6 I5I4 berharga 1111 dari HLT rendah. Sinyal ini kembali memasuki C25 (detak langkah – tunggal ) dan C29 (detak otomatik). Baik dalam ragam MANUAL maupun ragam AUTO, detak akan berhenti sebagai akibatnya dan Operasi komputerpun akan berakhir.




    Pencacah Lingkar

    Pencacah lingkar, kadang-kadang disebut Pula pencacah keadaan (state counter) , tersusun dari tiga buah serpih, C36.C37 dan C38, setiap serpih adalah 1C 74LS107, yang tak lain adalah sebuah flip-flop JK majikan – budak. Pencacah ini dapat direset dengan menekan tombol kosong – mulai (S5). Flip-flop Q0 diberi pembalik sehingga keluaran Q (C38, penyemat 6) menggerakkan masukan J dari flip-flop Q1 (C38,penyemat 1).

    Sebagai akibatnya, keluaran I1 merupakan tingkat logika tinggi pada saat awalnya.

    Sinyal CLK menggerakkan Suatu masukan aktif-rendah. Ini berarti tepi negatif sinyal CLK akan mengawali setiap keadaan T. Setengah siklus kemudian, tepi positif dari sinyal CLK akan menyebabkan pengisian register sebagaimana telah dijelaskan sebelumnya.




    Matriks Kendali

    Sinyal-sinyal LDA, ADD, SUB dan OUT yang berasal dari dekoder instruksi menggerakkan matriks kendali (control matrix) yang terdiri dari C39 sampai C48. Pada waktu yang sama, sinyal-sinyal dari pencacah lingkar, yaitu T1 sampai T6, akan menggerakkan matriks tersebut (rangkaian yang menerima dua kelompok bit dari sumber yang berbeda) Matriks ini menghasil sinyal CON yang berupa mikroinstruksi yang memberitahu komputer tentang apa yang harus dikerjakan.

    Dalam Gambar 10-15 pertama-tama T1 yang menjadi tinggi, kemudian T2, lalu T3, dan seterusnya. Analisis matriks kendali ini dapat diikuti sebagai berikut. T1 yang tinggi menghasilkan Ep rendah dan M rendah (keadaan alamat); T2 yang tinggi menghasilkan Cp tinggi (keadaan penambahan); dan T3 yang tinggi menghasilkan rendah dan 1 rendah (keadaan memori). Jadi tiga keadaan T yang pertama merupakan siklus pengambilan di dalam SAP-1. Dalam rotasi ringkas, kata-kata CON bagi siklus pengambilan adalah
    ___________________________________

    Keadaan CON Bit-bit aktif

    ___________________________________

    T1 5E3H Ep, M

    T2 BE3H CP

    T3 263H , 1

    ___________________________________

    Selama keadaan eksekusi, T4 dan T6 menjadi tinggi secara berurutan. Pada waktu yang sama, hanya satu di antara sinyal-sinyal yang telah didekode (LDA sampai OUT) itu berada dalam keadaan tinggi. Karena itu, matriks kendali secara otomatik mengarahkan bit-bit aktif menuju ke saluran kendali keluaran yang tepat.

    Misalnya, pada waktu LDA tinggi, gerbang-gerbang NAND 2-masukan yang aktif hanyalah terdiri dari gerbang pertama, gerbang keempat, gerbang ketujuh, dan gerbang kesepuluh. Bilamana T4 tinggi, gerbang NAND pertama dan ketujuh akan diaktifkan, dan ini menghasilkan M rendah dan 1 rendah (mengisi MAR dengan medan alamat). Pada waktu T5 tinggi, gerbang NAND keempat dan kesepuluh diaktifkan ini menghasilkan rendah dan A rendah (mengisikan data RAM ke dalam akumulator). Misalnya, ketika T6 menjadi tinggi, tidak ada satupun diantara bit-bit kendali yang aktif (nop).

    Anda harus menganalisis operasi matriks kendali selama keadaan eksekusi dari kemungkinan-kemungkinan yang lain; yaitu ADD, SUB, dan OUT yang tinggi. Dengan demikian, anda akan menyetujui bahwa matriks kendali dapat membangkitkan mikroinstruksi ADD, SUB, dan OUT yang diperlihatkan pada Tabel 10-5 (mikroprogram SAP-1).




    Operasi

    Setiap kali sebelum komputer bekerja, operator memasukkan program dan data ke dalam memori SAP-1. Program tersebut menempati lokasi-lokasi memeori yang rendah (awal) dan data disimpan pada lokasi-lokasi memori yang berikutnya (lebih tinggi). Selanjutnya, operator menekan dan melepaskan kembali tombol- CLEAR. Sebagai akibatnya, sinyal CLK dan sinyal CLK akan menggerakkan semua register dan pencacah. Mikroinstruksi yang dikeluarkan oleh pengendali-pengendali akan menentukan langkah-langkah yang harus dilaksanakan pada setiap tepi CLK yang positif.

    Siklus mesin dalam SAP-1 selalu dimulai dengan siklus pengambilan. Siklus pengambilan meliputi keadaan-keadaan T1, T2, dan T3, masing-masing merupakan keadaan alamat, keadaan penambahan dan keadaan memori. Pada akhir siklus pengambilan, instruksi disimpan dalam register instruksi. Sesudah medan instruksi didekode, matriks kendali secara otomatis membangkitkan rutin eksekusi yang tepat. Di akhir siklus eksekusi, pencacah lingkar ( putar) mengalami reset dan selanjutnya dimulai siklus mesin yang berikutnya.

    Pemrosessan data akan berakhir bilamana instruksi HLT diisikan ke dalam register instruksi.



    10-8 PANGADAAN MIKROPROGRAM
    Matriks kendali dari Gambar 10-15 merupakan suatu cara membangkitkan mikroinstruksi yang dibutuhkan dalam setiap siklus eksekusi. Dengan perangkat intruksi yang lebih besar, matriks kendali menjadi sangat rumit dan memerlukan ratusan bahkan ribuan gerbang logika. Demikianlah, mengapa pengendalian secara perangkat-keras (gerbang-gerbang matriks disambungkan bersama) ini memaksa para perancang mencari alternatif lain untuk menghasilkan kata-kata kendali yang menjalankan komputer.

    Cara yang dikenal dengan sebutan mikroprogramming merupakan alternatif tersebut. Gagasan dasar dari cara ini adalah menyimpan mikroprogram dalam sebuah ROM, dan bukan membangkitkankannya dengan sebuah matriks kendali. Pendekatan ini telah menyederhanakan penyusunan rangkaian pengendali-pengurut.




    Penyimpanan Mikroprogram

    Dengan memberi alamat dan menyertakan rutin pengambilan. Kita dapat menyusun sebuah tabel lengkap dari mikroinstruksi SAP-1 (Tabel 10-6). Mikroinstruksi ini dapat disimpan di dalam sebuah ROM kendali lengkap dengan rutin pengambilan pada alamat 0H sampai 5H, rutin ADD pada alamat 6H sampai 8H, rutin SUB pada alamat 9H sampai BH, dan rutin OUT pada alamat CH sampai EH.

    Untuk mengakses yang manapun, kita perlu memberikan alamat yang tepat. Misalnya, untuk mendapatkan rutin ADD, kita harus memberikan alamat 6H, 7H, 8H. Untuk mendapatkan rutin OUT, harus diberikan alamnat CH, DH, dan EH. Dengan ini, dalam mengakses suatu rutin siperlukan tiga langkah sbb:


      1. Mengetahui alamat awal dari rutin yang dimaksud

      2. Menelusuri dengan tepat semua alamat dari rutin itu

      3. Memberikan alamat kepada ROM kendali.


    ROM Alamat

    Gambar 10-16 memperlihatkan bagaimana melakukan mikroprogramming bagi komputer SAP-1. Dalam sistem ini terdapat sebuah ROM alamat, sebuah pencacah yang dapat direset, dan sebuah ROM kendali. ROM alamat mengandung alamat awal dari setiap rutin dalam Tabel 10-6. Dengan kata lain, ROM alamar berisi semua data dalam Tabel 10-7. Sebagaimana terlihat, alamat awal dari rutin LDA adalah 0011, alamat awal dari rutin ADD adalah 0110, dan sebagainya.

    Bilamana bit-bit kode operasi I7 I6 I5 I4 menggerakkan ROM maka alamat awal dari rutin akan dibangkitkan sebagai contoh, jika instruksi ADD sedang dilaksanakan, maka I7 I6 I5 I4 menunjukkan kata 0001, Ini merupakan masukan kepada ROM alamat, dan keluaran ROM ini adalah 0110.


    Gambar 10-16. Kendali SAP-1 yang dibuat dengan “Micro Programming”


    Pencacah yang dapat dipreset

    Apabila T3 tinggi, masukan LOAD dari pencacah Dapat dipreset menjadi tinggi dan pencacah akan mengisikan alamat awal yang berasal dari ROM alamat. Selama keadaan-keadaan T yang lain pencacah melakukan pencacahan .

    Mula-mula sinyal CLR tinggi yang berasal dari debounser kosong-mulai (clear- start) dideferensiasi menjadi paku tegangan positif yang tajam dan sinyal ini akan mereset pencacah. Pada waktu komputer mulai bekerja keluaran pencacah menunjukkan :0000 selama keadaan T1 0001 selama keadaan T2 , dan 0010 selama keadaan T3, setiap kali berlangsung siklus pengambilan, hal yang serupa akan terulang karena selalu akan dikeluarkan kata-kata 0000, 0001, dan 0010 dari pencacah selama keadaan T1, T2, T3.

    Kode operasi (op code) dalam register instruksi mengendalikan siklus eksekusi. Jika instruksi ADD telah diambil, bit-bit I7 I6 I5 I4 = 0001. Bit-bit kode operasi ini menggerakkan ROM alamat, menghasilkan keluaran 0110 (Tabel 10-7). Alamat awal ini merupakan masukan ke pencacah pre-setabel ( yang dapat dipreset). Ketika T3 menjadi tinggi, tepi pulsa datak negatif yang berikutnya akan mengisikan 0110 kedalam pencacah presetabel tersebut. Pencacah kini dalam kondisi preset, dan pencacahan dapat memulai lagi dari alamat rutin ADD. Keluaran pencacah menunjukkan angka 0110 selama keadaan T4, 0111 selama keadaan T5 dan 1000 selama keadaan T6 .

    Pada waktu dimulainya keadaan T1, tepi awal dari sinyal T1 didiferensiasi menjadi paku tegangan positif tajam yang akan mereset pencacah pada 0000 : yaitu alamat awal dari sikllus pengambilan. Selanjutnya siklus mesin yang baru akan dimulai lagi.


    ROM Kendali

    ROM kendali menyimpan mikroinstruksi SAP-1. Selama berlangsung siklus pengambilan. ROM ini menerima alamat 0000, 0001 dan 0010. Karena itu, keluarannya adalah

    SE3H

    BE3H


    263H
    Mikroinstruksi yang tertera dalam tabel 10-6 ini menghasilkan keadaan alamat, keadaan penambahan dan keadaan memori.

    Selama sebuah instruksi ADD dilaksanakan ROM kendali menerima alamat 0110, 0111 dan 1000 selama siklus eksekusi. Ini menghasilkan keluaran :

    1A3H

    2E1H


    3C7H

    Mikroinstruksi diatas melaksanakan operasi penjumlahan sebagaimana telah dibahas sebelumnya.

    Sebagai contoh yang lain, kita umpamakan instruksi OUT yang dilaksanakan. Maka kode operasi yang bersangkutan adalah 1110 sedangkan alamat awalnya adalah 1110 (Tabel 10-7). Selama siklus eksekusi berlangsung, keluaran pencacah adalah 1100, 1101 dan 1110. Sebagai akibatnya keluaran ROM kendali adalah 3F2H, 3E3H, dan 3E3H (Tabel 10-6). Rutin ini memindahkan isi akumulator kepintu keluaran.


    Siklus Mesin Variabel

    Mikro instruksi 3E3H dalam Tabel 10-6 menyatakan nop, dan ini muncul satu kali dalam rutin LBA dan dua kali dalam rutin OUT. Kadang nop ini dipakai dalam SAP-1 untuk mendapatkan satu siklus mesin yang tetap (fixed machine cycle) bagi semua instruksi . Dengan kata lain setiap siklus mesin memerlukan tepat enam keadaan T untuk jenis instruksi apapun. Bagi beberapa komputer adanya sebuah siklus mesin yang tetap mendatangkan keuntungan. Akan tetapi, bilamana kecepatan yang diutamakan, adanya keadaan nop akan memboroskan waktu, dan karena itu dapat dihilangkan.

    Satu cara mempercepat operasi komputer SAP-1 adalah dengan melompati (melewati ) setiap keadaan T yang mengandung nop. Kita dapat menghapuskan keadaan nop melalui perancangan ulang dari rangkaian Gambar 10-16 Ini akan dapat mempersingkat siklus mesin dari instruksi LDA menjadi lima keadaan (T1, T2, T4 dan T5). Dan siklus instruksi OUT menjadi empat keadaan T (T1, T2, T3, dan T4).


    Gambar 10-17. Siklus Mesin Variabel
    Gambar 10-17 memperlihatkan suatu cara menyusun siklus mesin variabel (Variabel mashine cycle: siklusmesin yang dapat diubah-ubah/ diatur). Untuk intruksi LDA, operasi tetap sama dari T1 sampai T5. Ketika keadaan T6 dimulai, ROM kendali menghasilkan keluaran 3E3H (mikroinstruksi nop). Gerbang NAND dengan segera akan mendeteksi keadaan nop ini dan menghasilkan sinyal keluaran NOP. Sinyal NOP ini diumpanbalikkan ke pencacah lingkar melalui sebuah gerbang AND, seperti ditunjukkan oleh gambar 10-18. Sinyal ini mereset pencacah lingkar kepada keadaan T1, dan dengan demikian siklus mesin yang baru akan dimulai kembali. Operasi di atas telah mengurangi siklus mesin instruksi LDA dari enam keadaan menjadi lima keadaan.



    Gambar 10-18.
    Pada instruksi OUT, nop yang pertama terjadi dalam keadaan T5. Dalam hal ini, sesaat setelah keadaan T5 dimulai, ROM kendali menghasilkan keluaran 3E3H, yang segera akan dideteksi oleh gerbang NAND. Sinyal NOP yang rendah dari NAND tersebut. Kemudian mereset pencacah program kembali ke kedaan T1. Dengan cara ini kita telah mengurangi siklus mesin instruksi OUT dari enam keadaan menjadi empat keadaan.

    Siklus mesin variabel biasa dipakai dalam mikroprosesor. Dalam 8085, sebagai contoh, siklus mesin mengambil dua sampai enam keadaan T karena semua keadaan nop yang tidak diinginkan dapat diabaikan.



    Keuntungan

    Satu keuntungan dari mikroprogramming adalah penghapusan bagian dekoder instruksi dan matriks kendali; kedua bagian ini menjadi sangat kompleks bagi perangkat instruksi yang lebih luas. Dengan kata lain, jauh lebih mudah menyimpan mikroinstruksi di dalam sebuah ROM daripada membuat rangkaian dekoder instruksi dan matriks kendali.

    Lagi pula, sekali anda merangkaiakan sebuah dekoder instruksi dengan matriks kendali, hanya ada satu cara untuk mengubah perangkat instruksiitu yaitu dengan mengubah sambungan-sambungan rangkaian ini. Pekerjaan tersebut tidak diperlukan dengan kendali yang dimikroprogramkan; apa yang harus dilakukan adalah mengubah ROM kendali dan ROM alamat-awal. Ini merupakan keuntungan besar jika anda mencoba memperbaiki peralatan yang telah dijual sebelumnya.


    Rangkuman

    Sebagai kesimpulan dapat diutarakan bahwa kebanyakan komputer dewasa ini telah dirancang dengan menggunakan kendali yang dimikroprogram sebagai pengganti sistem kendali perangkat-keras Tabel pemikroprograman dan rangkaian-rangkaian yang bersangkutan dari komputer dewasa ini jauh lebih kompleks daripada yang berlaku untuk SAP-1, akan tetapi gagasan pokoknya tetap sama. Mikroinstruksi disimpan di dalam sebuah ROM kendali dan diakses dengan memberikan alamat mikroinstruksi yang diinginkan.



    DAFTAR ISTILAH


    Akumulator Tempat dimana jawaban-jawaban operasi aritmetik dan logika disimpan. Kadang-kadang disebut register A.

    Bahasa asembli Mnemonik yang dipakai dalam penulisan sebuah program

    Bahasa mesin Deretan “kata” terdiri dari 0 dan 1 yang dipakai dalam sebuah program.

    Keadaan alamat keadaan T1. Selama keadaan ini, alamat didalam pencacah program dipindahkan ke MAR.

    Instruksi acuan (rujukan ) memori. Sebuah instruksi yang memerlukan operasi memori kedua untuk mengakses data.

    Keadaan memori Keadaan T3. Selama keadaan ini, instruksi didalam memori dipindahkan keregister instruksi.

    Keadaan penambahan Keadaan T2 selama keadaan ini, sehingga pencacah program dinaikkan atau (ditambahkan).

    Kode operasi (opcode). Bagian instruksi yang memberitahu komputer tentang operasi yang harus dilaksanakan

    LDA. Mnemonik dari instruksi mengisi (load) akumulator.

    Makroinstruksi Salah satu instruksi didalam perangkat instruksi.

    MAR Memory address register (register alamat memori). Register ini menerima alamat data yang hendak diakses didalam memori. MAR memberikan alamat ini ke memori

    Mikroinstruksi Kata - kendali yang berasal dari pengendali – pengurut. Ini merupakan langkah terkecil dam pemrosesan data.

    Nop No operation (tanpa operasi) . Suatu keadaan tanpa kejadian apapun.

    Pencacah program Register yang mencacah dalam bilangan biner. Isinya merupakan alamat instruksi berikutnya yang harus diambil dari memori.

    Perangkat instruksi Kumpulan semua instruksi yang dimengerti yang ditanggapi oleh komputer.

    Program obyek Program yang yang dituliskan dalam bahasa mesin.

    Program sumber Program yang ditulis dalam bentuk Mnemonik

    RAM Random access memory nama yang lebih baik adalah memori baca-tulis. RAM menyimpan program dan data yang dibutuhkan untuk operasi komputer register B Register pembantu yang menyimpan data untuk dijumlahkan pada atau dikurangkan isi akumulator.

    Register instruksi Register yang menerima instruksi dari memori.

    Register keluaran Register ini menerima data yang telah diproses dari akumulator dan menggerakkan peraga keluaran dari SAP-1. Disebut juga bandar keluaran.

    Siklus instruksi seluruh keadaan yang diperlukan guna mengambil dan mengeksekusi sebuah instruksi.

    Siklus mesin Semua keadaan yang dibangkitkan oleh pencacah putar (lingkar)

    Siklus pengambilan Bagian pertama dari siklus instruksi. Selama siklus pengambilan, alamat dikirim ke memori dan angka pencacah program ditingkatkan, dan instruksi dipindahkan dari memori ke register instruksi.


    Gambar 10-20. Skematik Lengkap SAP-1 (a)


    Gambar 10-21. Skematik Lengkap SAP-1 (b)



    Gambar 10-22. Skematik Lengkap SAP-1 (c)



    Gambar 10-23. Skematik Lengkap SAP-1 (d)



    Download 2.38 Mb.
    1   ...   22   23   24   25   26   27   28   29   30




    Download 2.38 Mb.

    Bosh sahifa
    Aloqalar

        Bosh sahifa



    Komputer sap (Simple-As Possible; artinya sederhana mungkin) telah dirancang untuk anda sebagai seorang pemula dalam bidang in

    Download 2.38 Mb.