• Kalit so‘zlar
  • Dasturlanuvchi raqamli qurilmalar fanini




    Download 206,42 Kb.
    Pdf ko'rish
    bet1/2
    Sana01.06.2024
    Hajmi206,42 Kb.
    #258752
      1   2


    DASTURLANUVCHI RAQAMLI QURILMALAR FANINI
    O‘QITISHDA INNOVATSION TEXNOLOGIYALARDAN FOYDALANISH
    Xalima Abasxanova Yunusovna, Muhammad al-Xorazmiy nomidagi
    Toshkent axborot texnologiyalari universiteti dotsenti, Elektron pochta
    manzili: halimaabasxanova@gmail.com
    ANNOTATSIYA
    Ushbu maqolada VHDL dasturlash tili asosida raqamli qurilmalarni
    modellashtirish keltirilgan bo‘lib, FPGA-larning muhim afzalligi ularning ko‘p
    qirraligi va deyarli har qanday raqamli qurilmaning funksiyalarini bajarish uchun
    dasturlash imkoniyatlari keltirilgan.
    Kalit so‘zlar:
    VHDL dasturlash tili, raqamli qurilmalar, DMIS(FPGA),
    integral mikrosxemalar, makroyacheyka, modul interfeysi, mantiqiy elementlar,
    diagrammalar, konvertorlar.
    O’zbekiston Respublikasida dasturlash sohasida qiziqish tobora ortib
    bormoqda. Bu borada qabul qilingan qaror va qonunlar, bir million dasturchi
    loyihasi doirasida olib borilayotgan ishlar dasturchilar sonining ortishiga sabab
    bo‘lmoqda. Dasturlash sohasi bir necha yo‘nalishlarga ega bo‘lib, ulardan biri
    mantiqiy qurilmalarni dasturlash sohasi xisoblanadi. Avtomatik ishlovchi
    qurilmalar, sanoat robotlari, aqilli binolar uchun tuziladigan dasturlar aynan shu
    soha mahsulotlari hisoblanadi. Shuning uchun ham Prezidentimiz axborot
    texnologiyalari to‘g‘risida qator farmonlar chiqarib, ushbu sohani yurtimizda
    rivojlanishiga va jahon bozorida o‘zbek dasturlarini munosib o‘rin egallashiga
    katta e’tibor bermoqdalar. Hozirgi vaqtda raqamli qurilmalarni ishlab chiqishda
    dasturlanuvchi
    mantiqiy
    integral
    mikrosxemalardan
    foydalanilmoqda
    (dasturlanuvchi mantiqiy integral sxema DMIS(FPGA)). FPGA-larning muhim
    afzalligi ularning ko‘p qirraligi va deyarli har qanday raqamli qurilmaning
    funksiyalarini bajarish uchun tezda dasturlash qobiliyatidir. FPGA yarim tayyor
    mahsulot bo‘lib, uning asosida shaxsiy kompyuterga ega bo‘lgan ishlab chiquvchi
    rekord vaqt ichida raqamli qurilmani loyixalash imkoniyatiga ega. Bu oddiy va


    nisbatan arzon dasturiy ta’minot va avtomatik loyihalashtirish tizimi (ALT (SAPR))
    deb nomlangan maxsus dasturiy ta’minot bilan ta’minlanadi. Unda yuqori darajada
    loyihalanayotgan tizimning faqatgina umumiy ko‘rinish va avfzalliklarini aks
    ettiradigan eng kam detallashtirilgan ko‘rinishidan foydalaniladi. Keyingi
    darajalarda ko‘rinishi kengayib boradi, bunda tizim umumiy emas alohida bloklar
    ko‘rinishida bo‘ladi. Bunday yondashuv har bir masalani ma’lum darajada
    murakkab ko‘rinishda shakllanirish va yechish imkonini beradi, hamda talaba
    uchun tushinilishi oson va loyihalashtirishning vositalarini keng imkoniyatini
    yaratadi. FPGA dasturlash Verilog va VHDL apparat tavsif tillari yordamida
    amalga oshiriladi. Yuqori darajada, bu tillar juda o‘xshash - apparat modeli o‘zaro
    ta’sir qiluvchi bloklar (modullar) ko‘rinishida tasvirlangan va ularning har biri
    uchun interfeys va amalga oshirish belgilangan. Modul interfeyslari kirish, chiqish
    va ikki yo‘nalishli portlarni tavsiflaydi, ular orqali modullar ma’lumotlar va
    boshqaruv signallarini almashish maqsadida bir-biriga ulanadi. Amalga oshirish
    ichki holatning elementlarini va ushbu holat va kirish portlarining qiymatlari
    asosida chiqish interfeyslarining qiymatlarini hisoblash tartibini, shuningdek ichki
    holatni yangilash qoidalarini belgilaydi. Raqamli qurilmalarni loyihalash
    marshrutining umumiy ko‘rinishi FPGA asosidagi qurilmalarni loyixalash maxsus
    ALT (SAPR) tizimlari yordamida amalga oshiriladi. Modellashtirish an’anaviy
    ravishda bosqichlarga bo‘linadi: tizimli, strukturaviy, algoritmik, texnologik va
    funksional. Tizim bosqichida butun loyiha qismlarga bo‘linadi, ularning maqsadi
    va munosabatlari aniqlanadi, qismlarni qanday amalga oshirish to‘g‘risida qaror
    qabul qilinadi va qurilmani tavsiflash usuli tanlanadi.


    1-
    rasm. Loyihalash muhiti Quartus II IDE
    Zamonaviy ALT tizimlari qurilmani tavsiflashning bir necha usullarini
    qo‘llaydi: apparat tavfsiflash (VHDL, Verilog, AHDL v.b.) va maxsus matn
    muxarriridan foydalanish; ishlab chiqaruvchiga funksional bloklarni ish maydoniga
    joylashtirish va ularni ulash imkonini beruvchi vizual model dasturi yordamida
    tavsiflash sxematik usuli. Vizual model oxirida diagramma til tasviriga aylanadi;
    olingan grafik tasvirni til tavsifiga aylantiruvchi ixtisoslashtirilgan muharrirda
    raqamli mashinalarning grafik tasviri; chinlik jadvali, Karno kartasi, bul algebrasi
    funksiyalari yordamida kombinatsiyaviy mantiqning tavsifi. Kompilyator maxsus
    (Verilog HDL yoki VHDL dagi diagrammalar va matn tavsifi) tahlil qilish va
    diagrammaning barcha elementlari va ular o‘rtasidagi munosabatlar ro‘yxati ya’ni
    tarmoq ro‘yxati yaratiladi. Verilog primitivlaridan tashqari Quartus II IDE
    arxitektura va muayyan vazifalar uchun optimallashtirilgan parametrlardan modul
    kutubxona funksiyalarining ko‘plab boshqa makrofunksiyalarini va kutubxonasini
    taqdim etadi. Dasturlanuvchi mantiqiy integral sxema- raqamli integral
    mikrosxemalarni yaratish uchun foydalaniladigan elektron komponent. An’anaviy
    raqamli mikrosxemalardan farqli o‘laroq, FPGA ishlash mantiqi maxsus vositalar:
    dasturchilar va dasturiy ta’minot yordamida dasturlash orqali o‘rnatiladi.


    FPGA dasturlash Verilog va VHDL apparat tavsif tillari yordamida amalga
    oshiriladi. Yuqori darajada, bu tillar juda o‘xshash- apparat modeli o‘zaro ta’sir
    qiluvchi bloklar (modullar) ko‘rinishida tasvirlangan va ularning har biri uchun
    interfeys va amalga oshirish belgilangan. Modul interfeyslari kirish, chiqish va ikki
    yo‘nalishli portlarni tavsiflaydi, ular orqali modullar ma’lumotlar va boshqaruv
    signallarini almashish maqsadida bir-biriga ulanadi.
    Dasturchi dizayner makrofuksiyalar, LPM va foydalanuvchi tomonidan
    belgilangan komponetlar misollarini kiritish uchun komponentning misol
    nusxalarini ishlatiladi. Raqamli apparat loyixalash tillarining xilma xilligi orasida
    VHDL ( Hardware Description Language) tilini aniq ajratib ko‘rsatish mumkin, bu
    o‘zining ko‘p qirraligi tufayli oddiy mantiqiy elementning tuzilishi, ishlashini ham
    tavsiflash imkonini beradi.

    Download 206,42 Kb.
      1   2




    Download 206,42 Kb.
    Pdf ko'rish

    Bosh sahifa
    Aloqalar

        Bosh sahifa



    Dasturlanuvchi raqamli qurilmalar fanini

    Download 206,42 Kb.
    Pdf ko'rish