• Topshirdi: Sharofov D Qabul qildi: Islamova D Qarshi-2023
  • Toshkent axborot texnologiyalari universiteti qarshi filiali individual loyiha




    Download 34.17 Kb.
    Sana12.01.2024
    Hajmi34.17 Kb.
    #135917
    Bog'liq
    Harakat tezligini aniqlash va ko\'rsatish uchun mikroprotsessor tizimi
    2-Глоссарий, 2-Таркатпа материал, 10-bio-test (1), № 9 AstraLinux teacher 200824 part7 v2-84-96, AXATQULOV-SAMANDAR-SANJAR O‘G‘LI 29.11.2022, Foydalanilgan elektr energiyani hisobga oluvchi kontrollerlarflowcode, 1. Inson tafakkurining oliy darajasi ko’rsatilgan qatorni aniqla-fayllar.org, Invitation, “Yangi yilga foydali sovg‘alar” aksiyasini o‘tkazish va unda ishtirok (2) (1), MUSTAQIL ISH17, 2 mavzu Excel va spss kompyuter dasturlarida ma’lumotlarni tash, diyorbek-5-20ea (1), 2.Maruza Istiqbolli, digital report k12 v1 139888616 38116b98 7d6e 4f6b 933d 7141a8e3586c

    MUHAMMAD AL-XORAZMIY NOMIDAGI
    TOSHKENT AXBOROT TEXNOLOGIYALARI UNIVERSITETI
    QARSHI FILIALI

    INDIVIDUAL LOYIHA 1

    Mavzu: Harakat tezligini aniqlash va ko‘rsatish mikroprotsessor tizimi. (Proteus muhitida)


    Topshirdi: Sharofov D

    Qabul qildi: Islamova D
    Qarshi-2023
    Mundarija
    Kirish
    1. Mikroprotsessorli boshqaruv tizimini ishlab chiqish bo'yicha texnik topshiriq
    2. Mikroprotsessorni boshqarish tizimining struktura sxemasi
    3. Datchiklardan ma'lumotlarni o'qish uchun blok-sxemani ishlab chiqish
    3.1 Raqamli sensor interfeys qurilmasini ishlab chiqish
    3.2 Analog sensorli interfeys qurilmasini ishlab chiqish
    3.3 Ta'minot kuchlanishining mavjudligini kuzatish uchun interfeyslar
    4. Boshqaruv signallarini chiqarish uchun bloklarning sxematik diagrammalarini ishlab chiqish
    4.1 MK ning aktuatorlar bilan ulanish sxemasi
    5. Ketma-ket aloqa kanalining blok-sxemasini ishlab chiqish
    6. Boshqaruv pultining sxemasini ishlab chiqish
    7. Mikroprotsessorli tizimni boshqarishning umumiy algoritmini ishlab chiqish
    8. Raqamli datchiklardan ma'lumotlarni o'qish blokining algoritmi
    9. Analog datchiklardan ma'lumotlarni o'qish uchun blokning algoritmi
    10. Ketma-ket aloqa kanali orqali ma'lumotlar almashinuv blokining ishlash algoritmi
    11. Operator bilan o'zaro aloqa blokining ishlash algoritmi
    12. Favqulodda vaziyatlarda ishlash algoritmi
    13. Mikroprotsessorni boshqarish tizimining elektr parametrlarini hisoblash
    14. Elektr ta'minotini rivojlantirish
    Xulosa
    Adabiyotlar ro'yxati
    Ilova

    Kirish
    Mikroelektronikani rivojlantirish va uning mahsulotlarini sanoat ishlab chiqarishida, turli xil ob'ektlar va jarayonlarni asboblar va boshqarish tizimlarida keng qo'llash hozirgi vaqtda fan-texnika taraqqiyotining asosiy yo'nalishlaridan biridir.


    So'nggi yillarda mikroelektronika turli maqsadlar uchun uskunalarni "intellektuallashtirish" uchun mo'ljallangan bir chipli mikrokontrollerlarni chiqarish bilan bog'liq yo'nalishda jadal rivojlanmoqda.
    Bir chipli mikrokontrollerlar - bu LSI ko'rinishida tizimli ravishda tanlangan va "yalang'och" mikrokompyuterning barcha komponentlarini o'z ichiga olgan qurilmalar: mikroprotsessor, dastur xotirasi va ma'lumotlar xotirasi, shuningdek, tashqi muhit bilan aloqa qilish uchun dasturlashtiriladigan interfeys sxemalari.
    Bir chipli mikrokompyuterlarning taklif qilinayotgan yangi arxitekturalari orasida INTEL tomonidan 1981 yilda taklif qilingan MCS-51 mikrokontrollerlar oilasining 8 bitli arxitekturasini alohida ta'kidlash kerak. U bitta chipli mikrokontrollerlar uchun barcha talablarni qondiradi.
    Biroq, bugungi kunga kelib, mikroprotsessor asboblari uchun jahon bozorining muhim qismini bitta chipli kontrollerlarning yana bir turi tashkil etadi - bular periferik interfeys kontrollerlari yoki PIClar deb ataladi. Ular yuqori samarali LSIlar bo'lib, ularda raqamli qurilmalardan tashqari (mikrokontrollerning o'zi) analoglari ham birlashtirilgan - bular turli xil ADClar, komparatorlar, PWM taqqoslash modullari va boshqalar. Bu ushbu qurilmalarni aqlli qurilmalar ishlab chiqaruvchilari orasida juda mashhur qiladi. Mamlakatimizda bitta chipli PIClarning eng mashhur ishlab chiqaruvchisi Amerikaning Microchip Technology Inc. U 8-bitli PIC-larning uchta qatorini ishlab chiqaradi.
    Mikroprotsessorning asosiy xususiyati operatsiya mantiqini dasturlash qobiliyatidir. Shuning uchun MPS o'lchash jarayonini boshqarish (o'lchov algoritmini amalga oshirish), eksperimental ma'lumotlarni qayta ishlash, o'lchov natijalarini saqlash va chiqarish va boshqalar uchun ishlatiladi.
    1. MIKRO PROTCESSORLARNI BOSHQARISH TIZIMINI ISHLAB CHIQISH BO'YICHA TEXNIK TOPLASHMALAR
    Kurs ishida qandaydir obyekt uchun mikroprotsessorli boshqaruv tizimi ishlab chiqilmoqda (1-rasm).
    Mikroprotsessor tizimi analog va raqamli datchiklardan boshqaruv ob'ekti haqida ma'lumot oladi, boshqaruv qonunlariga muvofiq boshqaruv harakatlarini (Y) hosil qiladi va ularni aktuatorlarga beradi. Mikroprotsessor tizimi mikrokontroller - boshqaruv mikrokompyuteri, boshqaruv paneli va ketma-ket aloqa kanalidan iborat. Boshqaruv paneli yordamida operator mikrokompyuterning ishlashini boshqarish imkoniyatini oladi: uni ishga tushirish va to'xtatish, ba'zi sozlamalar (doimiy) qiymatini berish, indikatorlardan ob'ekt holati haqidagi ma'lumotlarni olib tashlash va h.k. Mikroprotsessorli tizim ketma-ket aloqa kanali yordamida qayta ishlangan ma'lumotni uning so'roviga ko'ra yuqoriroq darajaga uzatishi mumkin.
    Kurs ishida mikroprotsessor tizimining blok diagrammasi ishlab chiqilgan bo'lib, sensorlar va aktuatorlar bilan aloqa qurilmalari va boshqaruv algoritmi va almashinuv algoritmini amalga oshirishni ta'minlaydigan dasturlar, mikroprotsessor tizimining xususiyatlari baholanadi va elektr ta'minoti. ishlab chiqilgan. Tizimning elektr parametrlarini hisoblash bo'limida har bir quvvat davrlari uchun iste'mol qilinadigan oqim va quvvatni hisoblash kerak. Ushbu hisob-kitoblar asosida tayyor quvvat manbai ishlab chiqiladi yoki tanlanadi.
    Raqamli ma'lumotlarni qayta ishlash. Mikroprotsessor tizimi X1, ..., X5 ikkilik datchiklarini so‘roq qiladi va nol funksiyalarni hisoblaydi Y_1=¯(X_1 ⋃▒ X_2 ⋃▒ X_3 ) ⊕ ¯ (X_1 ⋃▒ X_2 ⋃▒ X_4 ) ▒ X_5, in muvofiq vazifa yoqilgan muddatli ish ish .
    Y_1, Y_2 yoki Y_3 funksiyasining bitta qiymati bilan tizim mos ravishda T_1=120 mks, T_2=318 mks, T_3=395 mks bo‘lgan Y_1=1 chiqish signalini hosil qiladi. Bu shuni anglatadiki, T_1, T_2 yoki T_3 orqali bitta Y1 signali chiqarilgandan so'ng, Y0 nol signalini yaratish kerak. Tizimda, shuningdek, ikkilik X0 signal sensori mavjud bo'lib, uning bitta signali dasturning ish siklini bajarish paytida istalgan vaqtda tizimni favqulodda o'chirishga olib kelishi kerak.
    Analog ma'lumotlarni qayta ishlash. V1, V2 analog datchiklarining signallari ADCda raqamli shaklga aylanadi. Keyinchalik, N1 va N2 hosil qilingan kodlar, belgisiz butun sonlar qayta ishlashga yuboriladi. K qiymati boshqaruv panelining o'zgartirish tugmasi registridan keladigan 8 bitli sozlash kodidir. Keyinchalik, tizim funktsiyani hisoblaydi:
    N=maks(N1;N2-K)
    N funksiyaning natijaviy qiymati ichki xotirada saqlanadigan doimiy Q bilan taqqoslanadi. Taqqoslash natijalariga qarab, tizim mos ravishda T3 yoki T4 davomiyligi bilan Y2 (agar NQ bo'lsa) ikkilik boshqaruv harakatlarini hosil qiladi.
    Uzilish so'rovlarini ko'rib chiqish
    Tizim uzilish so'rovlarini besh darajada qayta ishlaydi:
    elektr ta'minotidagi uzilish signali IRQ0 bo'yicha uzilish so'rovi;
    IRQ1 favqulodda sensori signalida uzilish so'rovi;
    tashqi kompyuter IRQ2 terminalidan uzilish so'rovi;
    IRQ3 taymeridan uzilish so'rovi;
    boshqaruv panelidan uzilish so'rovi (operator uzilishi) IRQ4.
    Elektr uzilishida tizimning uzilishi eng yuqori ustuvorlikka ega. Shu bilan birga, tizim zaxira quvvat manbaiga (batareya) o'tadi, tashqi qurilmalarni dastlabki holatiga o'rnatish uchun Y5 signalini ishlab chiqaradi (masalan, diskdan boshlarni tortib olish, haydovchini to'xtatish va hokazo) va xarakterni uzatadi. ketma-ket aloqa kanaliga kod (agar u faol bo'lsa) "!". Y5 signali davomiyligi 30 mks bo'lgan ikkita to'rtburchak impuls bo'lib, 30 mks oraliq bilan keyin keladi. Ushbu amallarni bajargandan so'ng, mikrokontroller past quvvat rejimiga o'tkazilishi kerak.
    Favqulodda vaziyat sensori signalining uzilishi boshqaruv panelidagi favqulodda chiroqni yoqadi va X1, ..., X4 ikkilik sensorlari va ADCdan kelayotgan N1 va N2 raqamli kodlari signallarini ko'rsatish uchun chiqishni ta'minlaydi. Shundan so'ng, mikrokontroller past quvvat rejimiga o'tkaziladi.
    Tashqi kompyuter terminalidan uzilishlar ketma-ket aloqa kanali almashinuvni boshqarish xarakterini olganida amalga oshiriladi. Shu bilan birga, ketma-ket adapterning qabul qiluvchisi so'ralgan ma'lumotni ketma-ket aloqa kanaliga o'tkazish uchun asosiy dasturning ishlashini to'xtatish to'g'risida so'rov beradi. So'ralgan ma'lumot kanaldan olingan belgiga qarab shakllanadi. “D” belgisi qabul qilinganda Y1 qiymati kanalga uzatiladi, “A” belgisi qabul qilinganda Y4 qiymati. Kerakli ma'lumot ketma-ket adapterning LSI transmitterining buferiga yuklangandan so'ng, boshqaruv uzilgan dasturga o'tkaziladi. Boshqaruv panelidagi uzilishlar quyidagi harakatlarni talab qiladi:
    O'chirish registridagi ma'lumotlarni o'qing.
    Oldindan belgilangan manzilda ma'lumotlarni yozib olish.
    Qayta ishlash dasturining boshida uzilishdan chiqishni tashkil qiling.
    Boshqarish pulti
    Boshqaruv paneli quyidagi elementlarni o'z ichiga olishi kerak:
    N1 - RI1 qiymatini ko'rsatish uchun LEDlar bilan ro'yxatdan o'ting;
    X1,..., X4 - RI2 qiymatlarini ko'rsatish uchun LEDlar bilan ro'yxatdan o'ting;
    Y1, Y2 - RIZ qiymatlarini ko'rsatish uchun LEDlar bilan ro'yxatdan o'ting;
    Y4 - RI4 kodini ko'rsatish uchun LEDlar bilan ro'yxatdan o'ting;
    masofadan boshqarish pultining o'zgartirish tugmalaridan K kodini olish uchun sakkiz bitli P5 registrini kiriting (u ishlatiladigan variantlar uchun);
    2 Gts chastotali meander qo'llaniladigan indikator LED;
    "Qayta tiklash" tugmasi bosilganda, tizim elementlarini dastlabki o'rnatish amalga oshiriladi;
    Har bir dasturni bajarish tsiklining oxirida so'ralgan "To'xtatish" o'tish tugmasi.
    Bir nechta registrlar operator tomonidan joriy qiymatni tanlash imkoniyati bilan birlashtirilishi mumkin.
    2. MIKRO PROTCESSORLARNING BOSHQARISH TIZIMINING TUZILIK DIAGRAMASI.
    Boshqarish tizimining blok sxemasi 2-rasmda ko'rsatilgan.
    Rasmda boshqaruv tizimining blok diagrammasi ko'rsatilgan, unda barcha sensorlarning mikrokontrolleriga ulanishlari ko'rsatilgan. Sensorlarni ulashda signallarni muvofiqlashtirish uchun interfeys qurilmalari ishlatilgan. Bundan tashqari, diagrammada boshqaruv panelining mikrokontrolleriga ulanish ko'rsatilgan. Diagramma shuningdek, turli xil qurilmalarni boshqarish uchun ishlatiladigan barcha chiqish signallarini ko'rsatadi. Tekshirish moslamasi RS-485 interfeysi orqali yuqori darajadagi tizim bilan bog'lanadi. Datchiklar, boshqaruv paneli va indikator sxemasini ulash boshqaruvchining D portiga ulangan umumiy 8 bitli avtobus orqali amalga oshiriladi. Boshqaruv paneli va interfeys qurilmalarini avtobusga ulash kirish kengaytirish bloki (IRBV) yordamida amalga oshiriladi. Bundan tashqari, ushbu blok uzilish so'rovlarini yaratadi.
    Chiziqning maqsadi, chiziqlar guruhi Yo'nalish Signal turi Miqdor
    X0-X5 ID6 raqamli sensorlar
    Analog sensorlar N1, N2 IA2
    Signal signali OD1
    OD1 "To'xtatish" tugmachasini almashtiring
    Tugmalar:
    "Qayta o'rnatish"
    "Operatorning uzilishi"
    ID1 quvvat manbai nazorat qilish sxemasi
    Interrupt so'rov tashkilotchisi (INT) ID1
    Y1-Y3,Y5 OD4 chiqish signallari
    Jami 22
    I/U liniyalari sonini hisoblash jadvaliga asoslanib, foydalanilgan MC quyidagi mezonlarga javob berishi kerak:
    kiritish/chiqarish liniyalari soni -22;
    USART va MSSP modullarining mavjudligi.
    MK sifatida biz PIC16F874A chipini tanlaymiz.PIC16F874A MK ning xarakteristikalari 2-jadvalda keltirilgan.
    Soat chastotasi DC-20MHz
    Qayta tiklash (qayta tiklash kechikishi) POR, BOR (PWRT, OST)
    FLASH dastur xotirasi (14 bitli so'zlar) 4K
    Ma'lumotlar xotirasi (bayt) 192
    EEPROM ma'lumotlar xotirasi (bayt) 128
    To'xtatish 14
    I/U portlari A, B, C, D, E portlari
    Taymerlar 3
    Rasmga olish/taqqoslash/PWM moduli 2
    Seriyali interfeys modullari MSSP, USART
    PSP parallel interfeys modullari
    10-bitli ADC moduli 8 kanal
    Ko'rsatmalar 35
    3. SEZORLARDAN MA'LUMOTLARNI O'QISH BO'LGANING BASOSLI DIAGRAMASINI ISHLAB CHIQISH.
    3.1 Raqamli sensor interfeys qurilmasini ishlab chiqish
    Interfeys sxemasi ikkilik sensori va boshqaruvchi kirish liniyasining galvanik izolyatsiyasini ta'minlaydi. Sxemaning asosi tranzistorli optokupl bo'lib, u galvanik izolyatsiyalash moslamasi va asosiy element funktsiyalarini bajaradi.
    Sxemani shartli ravishda 2 sxemaga bo'lish mumkin: kirish va chiqish. Kirish davri darajali konvertor va optokupl LED orqali hosil bo'ladi. Darajali konvertor kirish signali darajasini optokupl LEDning ishonchli ishlashi uchun zarur bo'lgan qiymatlarga keltiradi. Kirish sxemasi kirish signallarining darajalariga bog'liq. Bizning holatlarimizda u R1 rezistorini o'z ichiga oladi.
    Ushbu sxemada biz AOT101AC optokupllaridan foydalanamiz: Ipr \u003d 5 mA da Upr \u003d 1,6 V.
    Zener diyot quyidagi nisbatdan tanlanadi:
    |U_min |Biz KS175Zh zener diyotini quyidagi parametrlar bilan ishlatamiz: I_st=4 mA da U_st=7,5 V.
    Zanjirdagi R1 rezistorining qarshiligi formula bo'yicha hisoblanadi
    R1=( 〖 |U_in | 〗 _max-U_(pr-) U_st)/I_pr ,
    bu yerda |Uin|max - kirish kuchlanish modullarining maksimali (|Uin|max =10 V);
    Upr - optokuplning LEDida to'g'ridan-to'g'ri kuchlanishning pasayishi;
    Ipr - optokupl LED orqali to'g'ridan-to'g'ri oqim.
    10-1,6-7,5 )/(5∙ 〖 10 〗 ^(-3) )=180 Ohm.
    E96 seriyasiga ko'ra , biz qarshiligi 182 ohm bo'lgan rezistorni olamiz, shuning uchun R1 \u003d 182 ohm.
    chiqish davri optokupl tranzistori VU1 va tortishish qarshiligi R2 tomonidan hosil bo'ladi. Tranzistor asosiy element sifatida ishlaydi va rezistor tranzistor yopilganda sxemaning chiqishida mantiqiy "1" kuchlanish hosil qiladi. Buning uchun chiqish signal liniyasiga DD1 inverteri qo'shiladi. TTL mikrosxemasining kirishi interfeys qurilmasining chiqishiga ulanganligi sababli, R2 rezistorining qarshiligini 1 kOhm ga teng tanlaymiz. DD1 elementi sifatida biz KR1533LN1 tipidagi mikrosxemani tanlaymiz. Uning iste'mol qiladigan oqimi 3,8 mA ni tashkil qiladi.
    3.2 Analog sensorli interfeys qurilmasini ishlab chiqish
    Analog sensorli interfeys qurilmasining blok diagrammasi bitta asosiy va ikkita qo'shimcha blokdan iborat.
    Shakl 7 - Analog sensorli interfeys qurilmasining strukturaviy diagrammasi
    Asosiy birlik - analog sensorning galvanik izolyatsiyasi va boshqaruvchi kirish liniyasi. Galvanik izolyatsiya elementining vazifasi analog qurilmalardan AD202 tipidagi kirish va chiqishning galvanik izolyatsiyasi bilan op-amp tomonidan amalga oshiriladi. Uning iste'mol qiladigan oqimi 50 mA ni tashkil qiladi.
    Funktsional jihatdan analog sensorli interfeys qurilmasi bitta asosiy va ikkita qo'shimcha blokdan iborat. Asosiy birlik galvanik izolyatsiya birligidir. Qo'shimchalar kirish kuchlanishini ajratuvchi va chiqish qo'shimchasi. Interfeys sxemasi analog sensorning galvanik izolyatsiyasini va mikrokontrollerning chiqishini ta'minlaydi, shuningdek -5 ... -10 darajasidagi analog signalni 0 ... 5V darajali signalga aylantiradi.
    qarshiligi quyidagi formula bo'yicha topiladi:
    R1=R2((|U_inmax |)/U_in -1)(2)
    qaerda |Uinmax| - kirish kuchlanish modullarining maksimal qiymati.
    Rezistor qiymatlarini hisoblang :
    E96 R1=R2=1 kOm rezistorlarning nominal qiymatlari qatoridan tanlaymiz .
    , op-amp chiqishidagi kuchlanish o'zgarishi diapazonini aniqlaymiz :
    Bu erda Uin min (maks) - kirish kuchlanishining pastki (yuqori) chegarasi.
    -5 V ... -10 V dan 0 V ... +5 V chiqish signaliga ega bo'lgan analog sensorning interfeys diagrammasi 11-rasmda ko'rsatilgan. 
    R11=R13 U_ref/U_(0 maks.) (U_maks/U_min -1);
    R12=R13((U_min-U_max)/U_(0 max) );
    bu erda Uref - mos yozuvlar kuchlanishining qiymati, V;
    Uomax - qo'shimchaning chiqishidagi maksimal kuchlanish, V;
    Umax - yuqori darajaga mos keladigan adderning kirishidagi kuchlanish;
    Umin - pastki darajaga mos keladigan qo'shimchaning kirishidagi kuchlanish.
    Biz PIC16F877A mikrokontrolleri Uomax = 5V ADC moduli uchun R13=1kŌ, Uref=7,5 V ni qabul qilamiz, keyin yuqoridagi formulalarga kerakli qiymatlarni almashtirib, biz quyidagilarni olamiz:
    R11 \u003d R13 7,5 / 5 ((-10) / (-5) -1) \u003d 1,5 kOm;
    R12=R13((-5-(-10))/5)=1kŌ;
    R4 rezistorining qarshiligi nisbatdan aniqlanadi :
    1/R14=1/R11+1/R12+1/R13
    R14 \u003d 0,375 kOm;
    E96 rezistorlarining nominal qiymatlari qatoridan tanlaymiz: R11=1,5kOm, R12=1kOm, R13=1kOm, R14=0,383kOm
    3.3 Ta'minot kuchlanishining mavjudligini kuzatish uchun interfeyslar
    Besleme kuchlanishini boshqarish sxemasi 12-rasmda ko'rsatilgan.
    LED filtri kondansatkichli yarim to'lqinli rektifikator orqali oziqlanadi. Optokupller galvanik izolyatsiya va asosiy element vazifasini bajaradi.
    Uin(0) = 0; Uin(1) = ~220 V. C1 kondansatkichning sig'imi 47-100 mkF oralig'ida, ish kuchlanishi esa kamida 6 V. VD1 diodining maksimal teskari kuchlanishi kamida 400 V bo'lishi kerak. R1-2 rezistorining qarshiligi quyidagi formula bo'yicha hisoblanadi:
    bu erda kirish kuchlanishining samarali qiymati.
    R1=27 kŌ, R2=1,1 kŌ; C1=47uF; R3=1kŌ
    Biz optokupl DA1.1 markali AOT101AC va KD521A diyotidan foydalanamiz. 
    4. BOSHQARUVCHI SIGNALI CHISH BIRLIKLARINING BOSHQASH DIAGRAMASINI ISHLAB CHIQISH.
    4.1 MK ning aktuatorlar bilan ulanish sxemasi
    Mikrokontroller va aktuatorlarning kuchlanish diapazonlarini moslashtirish uchun biz quyidagi interfeys sxemalaridan foydalanamiz .
    Mikrokontrollerdan aktuatorlarga (IM) signallarning kuchlanish diapazoni : 0 .. 5V. Interfeys sxemasida optokupl izolyatsiyasi amalga oshiriladi (12-rasm).
    Rezistor qiymatlarini hisoblang :
    bu erda Uinmax - kirish kuchlanish modullarining maksimal qiymati, V;
    Upr - optokupl LED bo'ylab to'g'ridan-to'g'ri kuchlanishning pasayishi, V;
    Ipr - optokuplning LED orqali to'g'ridan-to'g'ri oqim, A.
    Rezistor qiymatlarini hisoblaymiz :
    Biz R15 \u003d 1,69 kOm olamiz.
    (to'qqiz)
    bu erda Uin - maksimal kirish kuchlanishi, V;
    Iout - maksimal o'zgaruvchan tok, A.
    AOT101AC Iout = 0,005A uchun. Uin 5V ga teng qabul qilinadi.
    Rezistor qiymatlarini hisoblang :
    Biz R16 \u003d 1 kOm olamiz.
    mikroprotsessor analog signal sensori 
    5. SERIAL ALOQA KANALI BLOK BOShQACHI DIAGRAMASINI ISHLAB CHIQISH.
    Mikrokontrollerning chiqish signallari jismoniy jihatdan uzoq chiziqda ishlashga qodir emasligi sababli (UART interfeysi 50 sm dan ortiq bo'lmagan chiziqda ishlashi mumkin) va vazifaga muvofiq, terminaldan uzilishni tashkil qilish kerak. tashqi kompyuter uchun biz UART interfeysining RS422 interfeysiga konvertorini, Integral ( RB ) dan ILX485D chipini ishlatamiz:
    ILX485D chipining besleme kuchlanishi 12 V;
    Joriy iste'mol Idd - 200 mA;
    Ishlash harorati oralig'i -40 dan +85 0S gacha;
    Elektr uzatish liniyasining maksimal uzunligi 1200 m.
    Shuningdek , ushbu paragrafda biz USART modulining uzatish tezligini topamiz, bu formula bo'yicha hisoblanadi: Past tezlik rejimi:
    Yuqori tezlik rejimi:
    Hisob-kitoblardan ko'rinib turibdiki, biz yuqori tezlikda ma'lumotlarni uzatish rejimini tanlaymiz .
    6. BOSHQARUV PANELINING BOSHQARIY DIAGRAMASINI ISHLAB CHIQISH.
    Boshqaruv paneli sxemasi kiritish-chiqarish kengaytirish blokini, "operatorni uzish", "qayta o'rnatish", "to'xtatish" tugmalarini, uzilish so'rovini yaratish mantiqini o'z ichiga oladi. Boshqaruv paneli yordamida operator ob'ektning mikroprotsessorli boshqaruv tizimining ishlashini nazorat qilish imkoniyatiga ega bo'ladi : uni ishga tushiring va to'xtating, ba'zi sozlamalar (doimiy) qiymatlarini bering, holati to'g'risidagi ma'lumotlarni o'chiring. ko'rsatkichlardan ob'ekt va boshqalar.
    I /U kengaytirish bloki DD3 PCA9535 va DD4 PCF8574 chiplarida amalga oshiriladigan ko'rsatkich sxemasi, sozlash registri K o'z ichiga oladi.
    PCA9535 16-bitli, kam quvvatli, I2C tomonidan boshqariladigan I/U portidir. PCA9535 chipining pinouti 14-rasmda ko'rsatilgan. 
    PCF8574 8-bitli, kam quvvatli, I2C-boshqaruvchi I/U portidir. PCA9535 chipining pinouti 15-rasmda ko'rsatilgan.
    Ko'rsatkichlar sxemasi tizim holati haqidagi ma'lumotlarni boshqaruv paneliga chiqarish uchun mo'ljallangan. Displey sxemasi DD3 chipining 15 pinini (IO0,0-0,7 va IO1,0-1,6) va KIPD05A tipidagi 15 LED HL1-HL15 ni o'z ichiga oladi. Registrlarning chiqishlari yuk ko'tarish qobiliyatiga ega, bu sizga LEDlarni to'g'ridan-to'g'ri ularga ulash imkonini beradi. R40-R54 rezistorlari LEDlar orqali oqimni 5 mA gacha cheklaydi.
    porti va HL1-HL8 LEDlari RI1 ko'rsatkich registrini tashkil qiladi. RI2 va RI3 registrlari IO1 DD3 portiga asoslangan. Bunday holda, HL9-HL16 LEDlari RI2 va RI3 registrlarini hosil qiladi. O'rnatish sxemasi DD4 chipida (P port) DS-431 tipidagi SA2– SA9 o'rnatishning t kalitlarini ulash orqali amalga oshiriladi. Ushbu sxemadan foydalanib, operator ba'zi sozlamalar (doimiy) qiymatini chiqarishi mumkin.
    sinxron ketma-ket porti I2C avtobusiga mos rejimda ishlaydi. Ma'lumotlarni uzatish ikki yo'nalishda amalga oshiriladi: SCL (soat) va SDA (ma'lumotlar).
    diagrammasi 16-rasmda ko'rsatilgan. HL1 - HL16 LEDlar KIPD05A tipidagi LEDlar Ipr=5 mA, Upr=1,8 V. Shunga muvofiq R40 rezistorlarining qarshilik qiymatini aniqlaymiz. - R54, R67:
    R=(U_pit-U_pr)/I_pr.
    R \u003d (5-1,8) / 0,005 \u003d 640 ohm.
    ko'ra , biz R40 - R54, R67 rezistorlari sifatida C2-14-0,25-642 Ohm ± 0,1% ni tanlaymiz.
    R38 va R39 rezistorlarini 6,9 kOhm qarshilik bilan, R55 - R62 rezistorlarini - nominal qiymati 1 kOhm bilan tanlaymiz . C14 va C15 kondansatkichlari 100 mikrofarad sig'imga ega.
    Qayta tiklash" tugmachasini o'z ichiga oladi, bosilganda MCLR tekshirgichining kirishida past kuchlanish darajasi hosil bo'ladi, bu esa ikkinchisining qayta o'rnatilishiga olib keladi.
    bosganingizda , RI1 ko'rsatkich registrining HL1-HL4 LEDlarida quyidagi qiymatlar ko'rsatiladi:
    Y1 ning oxirgi qiymati;
    taqqoslash natijasi N > Q;
    X1∩X2∩X3∩X4 ifoda qiymati;
    ifoda qiymati X1 ∪ X2 ∪ X3 ∪ X4;
    Bunday holda, Q doimiy qiymatining qiymati RI2 registrining LEDlarida ko'rsatiladi.
    SB1 - SB3 tugmalari sifatida biz PKN-21 tipidagi tugmalardan foydalanamiz. Tugmalarni yoqish sxemalari 17-rasmda ko'rsatilgan.
    mantig'i KR1533LA4 tipidagi chipda amalga oshiriladi. KR1533LA4 chipining pinouti 18-rasmda ko'rsatilgan. U iste'mol qiladigan oqim 2,2 mA ni tashkil qiladi
    Sxema quyidagi hollarda uzilish signalini hosil qiladi:
    – ta’minot kuchlanishini boshqarish sxemasining chiqishida yuqori kuchlanish darajasining paydo bo‘lishi (ta’minot kuchlanishi yo‘q);
    - favqulodda sensori bilan interfeys qurilmasining chiqishida yuqori darajaning paydo bo'lishi;
    - SB2 "Uzilish operatori" tugmasini bosish.
    Agar darajalardan kamida bittasi faol bo'lsa, uzilish so'rovi signali kontrollerning INT kirishiga yuboriladi.
    Signal signali sifatida ishlatiladi. Signal MK ning D portining 4-qatoriga ulangan. Signal diagrammasi 20-rasmda ko'rsatilgan. 
    Uzilish so'rovini tashkil etish bloki tashqi uzilish manbalari sonini ko'paytirish uchun mo'ljallangan. O'rnatilgan ikki kontaktli chip DD2 KR1533LE1 kengaytirish moduli sifatida ishlatiladi. OR-NOT asosi ishlatilganligi sababli , uzilishlar INT tashqi uzilishning kirishidagi signalning orqa tomoni bilan aniqlanadi .
    VA-YOKI-EMAS asosidagi blok sxemasini tashkil qiluvchi uzilish so'rovining Y chiqish signali quyidagi shaklga ega:
    Y=(X_1 ) ̅+X_2, (10)
    bu yerda (X_1 ) ̅ - “Operatorni uzish” tugmasidan signal; X_2 - favqulodda vaziyat sensori signali.
    , uzilish so'rovini tashkil qiluvchi blok sxemasining chiqish signalini Y YOKI-EMAS asosiga tarjima qilaylik:
    Y=((X_1 ) ̅ 〖 ↓X 〗 _2↓X_3 ) ̅ (11)
    etishning blok sxemasi 21-rasmda ko'rsatilgan.
    7. MIKRO PROTCESSOR TIZIMINING UMUMIY BOSHQARISH ALGORITMINI ISHLAB CHIQISH.
    blok diagrammasi 20-rasmda ko'rsatilgan. Qayta tiklashdan so'ng boshqaruvchini ishga tushirish jarayoni boshlanadi, unda tizimning dastlabki o'rnatilishi amalga oshiriladi: periferik modullarni sozlash, dastlabki qiymatlarni yuborish boshqaruv harakatlarining chiqish kanallari va boshqalar. Keyingi blokda ma'lumotlar boshqaruv panelidan kiritiladi. "Raqamli sensorlardan ma'lumotlarni kiritish" va "Analog sensorlardan ma'lumotlarni kiritish" bloklarida raqamli va analog sensorlardan ma'lumot olinadi, so'ngra raqamli ma'lumotlar "Raqamli axborotni qayta ishlash" blokida qayta ishlanadi, bu erda qiymat Y1 mantiqiy funksiyasi hisoblanadi, uning qiymati “Y1 chiqish signalini shakllantirish” blokida shakllanadi. Tegishli blokda analog ma'lumotni qayta ishlagandan so'ng , Y2 yoki Y3 boshqaruv signallari "Y2 yoki Y3 chiqish signallarini shakllantirish" blokida hosil bo'ladi. Barcha qayta ishlangan va hisoblangan qiymatlar "Ko'rish uchun chiqish ma'lumotlari" blokiga yuboriladi. Bu uzilish so'rovlarini tekshiradi. Tsikl oxirida dastur "To'xtatish" tugmachasini so'raydi va agar u yoqilgan bo'lsa, tizimni to'xtatish uchun signal hosil qiladi.
    Uzilishlarni qayta ishlash algoritmida, birinchi navbatda, kontekst saqlanadi, ya'ni. akkumulyator va STATUS reestrining mazmuni.
    Keyinchalik , uzilish manbalari so'raladi: elektr ta'minotidan uzilish, favqulodda sensordan uzilish, ketma-ket portdan uzilish, taymerdan uzilish, operator tomonidan uzilish. boshqa manbalardan uzilish. Manbalardan birida uzilish sodir bo'lgan taqdirda, uzilish ishlanadigan pastki dasturga o'tish amalga oshiriladi. Interrupt ishlov berilgandan so'ng, kontekst tiklanadi va uzilishni ishlov beruvchisi chiqadi. 
    8. RAQAMLI SEZORLARDAN MA'LUMOTNI O'QISH ALOQASINI ISHLATISH ALGORITMMI.
    ishlashi 23-rasmda ko'rsatilgan quyidagi algoritm bo'yicha amalga oshiriladi.
    bo'lgach , mantiqiy funktsiya f(X1...X5) hisoblanadi. Keyinchalik, tizim oldingi blokda olingan f(x) ma'lumotlarini baholaydi. Agar funktsiya 1 ga teng bo'lmasa, u holda analog ma'lumotlarni o'qish va qayta ishlashga o'tish mavjud . Tenglik 1 bo'lsa, Y = 1 signal hosil bo'ladi, davomiyligi T1 = 120 ms. Keyin raqamli ma'lumotlarni qayta ishlashning oxiri keladi.
    uchun biz TMR1 taymeridan foydalanamiz. Biz 1:1 ga oldindan o'lchovni tanlaymiz. Taymer 20 MGts chastotani oladi.
    Davomiyligi T1=120 mks bo'lgan impuls hosil qilish uchun zarur bo'lgan mashina davrlari sonini hisoblab chiqamiz .
    Tmc = 4 T,
    bu erda T \u003d 1 / Fosc, keyin Tmc \u003d 4 / Fosc \u003d 4 / (20 106) \u003d 0,2 ms.
    talab qilinadigan soni:
    N = timp/Tmts ;
    N=600.
    bu raqam N = 258 h. Taymer 16-bitli bo'lgani uchun, keyin FFFF-285=FD7A, natijada olingan qiymat TMR1 registriga yoziladi. 
    9. ANALOG SEZORLARDAN MA'LUMOTNI O'QISh ALOQASINI ISHLATISH ALGORITMMI.
    ishlashi 24-rasmda ko'rsatilgan quyidagi algoritm bo'yicha amalga oshiriladi.
    Dastlab , ADC ni ishga tushirish amalga oshiriladi. Shundan so'ng, ma'lumot analog sensorlardan olingan ADC dan o'qiladi va keyingi kirish-chiqish portlari orqali mikrokontroller registrlariga yozib olinadi. Boshqaruv panelidan keladigan 8 xonali o'rnatish kodi (K qiymati) o'qiladi. U holda N=max ⁡ (N1;N2-K) ga muvofiq hisoblanadi vazifa . Keyinchalik davom etayapdi solishtirish funktsiyalari N bilan doimiy Q, qaysi saqlanadi ichida ro'yxatdan o'tish mikrokontroller . Agar N < Q bo'lsa, u holda ikkilik nazorat harakati Y2 hosil bo'ladi . Agar funktsiya N1 > K bo'lsa, u holda Y3 ikkilik boshqaruv harakatini ishlab chiqishga o'tish amalga oshiriladi. Shundan so'ng ushbu algoritm yakunlanadi.
    uchun biz TMR1 taymeridan foydalanamiz. Biz 1:1 ga oldindan o'lchovni tanlaymiz. Taymer 20 MGts chastotani oladi.
    Davomiyligi T2=318 mks bo'lgan impuls hosil qilish uchun zarur bo'lgan mashina davrlari sonini hisoblab chiqamiz .
    Tmc = 4 T,
    bu erda T \u003d 1 / Fosc, keyin Tmc \u003d 4 / Fosc \u003d 4 / (20 106) \u003d 0,2 ms.
    talab qilinadigan soni:
    N = timp/Tmts;
    N=1590.
    bu raqam N = 636 h. Taymer 16-bitli, keyin FFFF-636=F9C9 bo'lgani uchun natijada olingan qiymat TMR1 registriga yoziladi.
    Xuddi shunday , biz T3 uchun doimiyni hisoblaymiz:
    T3=395 mks;
    N=1975=7B7s;
    FFFF-7B7=F848. 
    10. SERIAL ALOQA KANALI BO'YICHA MA'LUMOT ALMASH BORLIGINI ISHLATISH ALGORITMMI.
    Ketma-ket aloqa kanali orqali ma'lumotlar almashinuv blokining ishlashi 25-rasmda ko'rsatilgan quyidagi algoritm bo'yicha amalga oshiriladi.
    Birinchidan , USART seriyali port ishga tushiriladi: port uzatish tezligi o'rnatiladi (115200 bit / s), USART uzilishi yoqilgan va hokazo. Qabul qilingan ma'lumotlar qayta ishlanmoqda. Keyin olingan ma'lumotlar tahlil qilinadi va agar "D" belgisi olingan bo'lsa, Y1 qiymati kanalga uzatiladi. Agar belgi olinmagan bo'lsa, interrupt subprogrammasi o'chiriladi. 
    11. OPERATOR BILAN O‘ZBAR TA’SIR QILISh BIRLIGINI ISHLATISH ALGORITMMI. 
    - blokda boshqaruv pultining almashinish registri so'roq qilinadi va o'rnatish kodi K hosil bo'ladi.2-blokda hosil qilingan kod dasturda oldindan ko'rsatilgan manzilga yoziladi. Blok 3 favqulodda sensordan uzilishni tekshiradi. 4-blokda signal boshqaruv panelida faollashtirilgan . 5-blokda X1-X5 ikkilik datchiklaridan signallarning chiqishi ko'rsatish uchun taqdim etiladi. 6-blok ADCdan keladigan N1 raqamli kod ko'rsatkichini berishni nazarda tutadi. 7-blokda mikrokontroller past quvvat rejimiga o'tkaziladi. 8-blokda PU dan uzilish mavjudligi tekshiriladi. 9-blokda Y1 qiymati ko'rsatiladi.10-blokda N>K taqqoslash natijasi ko'rsatiladi.11-blokda X1-X5 ikkilik sensor signallari ko'rsatiladi. 12-blokda K konstantasining chiqishi indikatsiya uchun berilgan.13-blokda uzilishdan ishlov berish dasturining boshiga chiqish. 
    12. Favqulodda vaziyatni qayta ishlash ALGORITMMI
    - blokda tashqi qurilmalarni dastlabki holatiga o'rnatish uchun Y1 signali hosil bo'ladi.
    - blokda boshqaruv panelidagi favqulodda yorug'lik belgisini ko'rsatish uchun signal ishlab chiqariladi.
    - blokda belgilar kodi "!"
    - blokda mikrokontroller past quvvat rejimiga o'tkaziladi.

    Dastlab tashqi qurilmalarni qayta o'rnatish uchun Y5 signali ishlab chiqariladi. Y5 signali davomiyligi 30 mks bo'lgan ikkita to'rtburchak impulslardan iborat bo'lib, 30 mks oraliqda. Keyin, belgilar kodi “! » . Ushbu amallarni bajargandan so'ng, mikrokontroller past quvvat rejimiga o'tkaziladi.


    T5=30 mks; (Elektr ta'minoti uzilganda to'xtatiladi)
    N=150=96 soat;
    FFFF-96=FF69. 
    13. MIKRO PROTCESSORLARNI BOSHQARISH TIZIMINING ELEKTR PARAMETRLARINI HISOBLASH.
    Mikroprotsessorni boshqarish tizimining elektr parametrlarini hisoblash uchun biz kuchlanish kuchi va oqim kabi asosiy elektr parametrlarining umumiy jadvalini tuzamiz .
    jadval - Mikrosxemalar tomonidan iste'mol qilinadigan oqimlar
    Ta'minot kuchlanishi Consumer QuantityIcon, mA∑Icon, mA
    + 15V AD202250100
    Jami 100
    + 5 V KR1533LN113.83.8
    PIC16F877A143.043.0
    MCP255117575
    PCA95351160160
    PCF85741100100
    KIPD05A165.080.0
    KR1533LA432,26,6
    Jami 189,6
    + 7,5V AD202250100
    Jami 100

    Shunday qilib , + 15 V, + 5 V kuchlanish va + 7,5 V kuchlanish ishlab chiqaradigan elektr ta'minoti sxemasini qurish kerak + 15 V manbadan iste'mol qilinadigan quvvat 1,5 Vt, + 5 V manbadan. - 2,34 Vt, va + 7,5 V manbadan - 0,75 Vt. Shunday qilib , butun qurilma tomonidan iste'mol qilinadigan quvvat 4,59 Vt. 


    14. Elektr ta'minotini rivojlantirish
    Elektr ta'minoti mikroprotsessorni boshqarish tizimini ikkita kanal - +5V kanal, +15V kanal va +7,5V kanal orqali quvvat bilan ta'minlashi kerak.
    uchun biz 26-rasmda ko'rsatilgan quvvat manbai sxemasidan foydalanamiz. Elektr ta'minoti mikroprotsessorni boshqarish tizimini uchta kanal - + 5 V kanal, + 15 V kanal va + 7,5 V kanal orqali quvvat bilan ta'minlaydi. +5 V ta'minot sxemasi 6F22 tipidagi GB1 batareyasi ko'rinishidagi zaxira quvvat manbai bilan ta'minlaydi.

    Ushbu sxemada T1 transformatori sifatida TPP-224-127 / 220-50 transformatori ishlatilgan. Ushbu transformator 5,5 Vt nominal quvvatga ega. 11-12, 13-14 o'rashlari orasidagi kuchlanish 5V, 15-16 sariqlari o'rtasida - 10 V. 15V kuchlanishni olish uchun biz 13-14 va 15-16 o'rashlarni ketma-ket ulaymiz. Zanjirdagi kuchlanishni to'g'rilash uchun KTs407A tipidagi VD1 va VD2 diodli ko'priklar qo'llaniladi. Kuchlanishni barqarorlashtirish uchun integral stabilizatorlar qo'llaniladi. KR142EN6A tipidagi DA2 chipi + 15V va + 7,5V kuchlanish regulyatori sifatida ishlatiladi va + 5 V kuchlanishni olish uchun ushbu sxemada KR142EN5A tipidagi o'rnatilgan stabilizator DA1 ishlatiladi.

    Xulosa

    Ushbu kurs ishida ob'ektni mikroprotsessorli boshqarish tizimi ishlab chiqilgan bo'lib, mikrokontroller (PIC16F877), analogdan raqamliga o'zgartirgich, interfeys sxemalari va mantiqiy sxemalar. Tizim boshqaruv panelini o'z ichiga oladi, uning yordamida operator tizimning ishlashini boshqarishi mumkin. Boshqarish algoritmini amalga oshirishni ta'minlash uchun dastur ham tuzildi. Mikroprotsessorlarni boshqarish tizimlarini loyihalash bo'yicha ko'nikmalar olindi.


    Adabiyotlar _

    Stashin, V.V. Bir chipli mikrokontrollerlarda raqamli qurilmalarni loyihalash / V.V. Stashin, A.V. Urosov, O.F.Mologontseva - M .: Energoatomizdat, 1990. - 224 p.
    Brodin, V.B. Mikrokontrollerlar va dasturlashtiriladigan mantiqning BISga asoslangan tizimlar / V.B. Brodin, A.V. Kalinin - M.: ECOM nashriyoti, 2002. - 400 b.
    Yatsenkov, V.S. Mikrochipli mikrokontrollerlar. Amaliy qo'llanma / V.S. Yatsenkov - M.: Ishonch telefoni - Telekom, 2002. - 296 b.
    Tavernier, K. PIC mikrokontrollerlari. Qo'llash amaliyoti: Per. fr dan. /TO. Tavernier - M .: DMK Press., 2004. - 272 p.

    Ilova


    NAZORAT DASTURI RO'YXATI
    MPASM 5.54 NEWPIC_8B_SIMPLE.ASM 12-8-2014 7:44:43 1-sahifa
    LOC OBYEKT KODI LINE MANBA MATN QIYMATI
    00001 #include
    00001 RO'YXAT
    00002
    00003
    00004; MPASM PIC16F877A protsessorini o'z ichiga oladi
    00005; 00006; (c) Mualliflik huquqi 1999-2013 Microchip Technology, Barcha huquqlar himoyalangan
    00007
    00008
    00566 RO'YXAT
    00002;
    00003 ;DONTAKTLARNI E'lon qilish
    00004;
    00000064 00005 DEL_ADC EQU D'100'
    00000044 00006 COD_D EQU 'D'
    00007;
    00008 ;RO‘YXATDAN O‘TGAN DEKLARATSIYA
    00009;
    00010 CBLOCK 0x20
    00000020 00011 N1; N1 SENSORDAN ANALOG SIGNAL QIMMATINI REGISTRI
    00000021 00012 N2; N2 SENSORDAN ANALOG SIGNAL QIMMATI REGISTRI
    00000022 00013N; ANALOG FUNKSIYA NATIJALARI REGISTRI N
    00000023 00014 N2_TMP; N2-K HISOBLASH NATIJASINI SAQLASH UCHUN RO'YXATDAN O'TING
    00000024 00015 Y2
    00000025 00016 Y3; Y2,Y3 NAZORAT SIGNAL REGISTLARI
    00000026 00017 KREG;8-BIT K NI SAQLASH UCHUN RO'YXATDAN O'TING
    00000027 00018 Q;DOIMIY ICHKI XOTIRADA SAQLANADI
    00000028 00019 IND1; INDICATION REGISTRI 1
    00000029 00020 IND2; 2-REYESTER
    0000002A 00021 Y1; Y1 RAQAMLI FUNKSIYANI HISOBLASH NATIJALARI REYESTERI
    0000002B 00022 W_TEMP;BATARYA NUSHIYASI
    0000002C 00023 STATUS_TEMP; STATUS RO‘YXATININ NUSXASI
    0000002D 00024 X1
    0000002E 00025 X2
    0000002F 00026X3
    00000030 00027 X4; X1-X4-RAQAMLI SEZORLARNING QIMMATLARINI SAQLASH
    00000031 00028 X_BUF; RAQAMLI SEZORLAR UCHUN QO'SHIMCHA RO'YXAT
    00000032 00029 IBUF; X1-X5 QIMMATLARNI SAQLASH UCHUN RO'YXATDAN O'TING
    00000033 00030 XAND;HISOBLASH NATIJASI X1*X2*X3*X4*X5 TUZILGAN HOLDA
    00000034 00031 XIOR;UZILGAN HOLDA X1VX2VX3VX4VX5 XISOBLASH NATIJASI
    00000035 00032 COUNTER_ADC; ADC UCHUN KECIKTIRISH HISOBI
    00000036 00033 REG_1
    00000037 00034 REG_2
    00000038 00035 REG_3; Signallarni yaratish uchun qo'shimcha registrlar
    00036 ENDC
    00037;
    00038 ;MAKRO
    00039;
    MPASM 5.54 NEWPIC_8B_SIMPLE.ASM 12-8-2014 7:44:43 2-sahifa
    LOC OBYEKT KODI LINE MANBA MATN QIYMATI
    00040 BANC0 MAKRO; BANK SELECT 0
    00041 BCF STATUS, RP0
    00042 BCF STATUS, RP1
    00043 ENDM
    00044 BANC1 MAKRO; BANK TANLASH 1
    00045 BSF STATUS, RP0
    00046 BCF STATUS, RP1
    00047 ENDM
    00048 RES_VECT KODU 0x0000; VEKTORNI SOZLASH
    0000 2??? 00049 O'TISH; DASTURNING BOSHIGA O'TING
    00050 MAIN_PROG KODI
    00051;
    00052 ;TUZISHLARNI ISHLATISH
    00053;
    00054 ORG 0x04
    00055 BANC0
    0004 1283 M BCF STATUS, RP0
    0005 1303 M BCF STATUS, RP1
    0006 00AB 00056 MOVWF W_TEMP
    0007 0803 00057 MOVF STATUS, V
    0008 00AC 00058 MOVWF STATUS_TEMP; BATARYAYANI TEQQASH VA STATUS REGISTRI
    00059;
    00060 ;MANBANI TANGISHNI TUZISH
    00061;
    0009 188B 00062 BTFSC INTCON,INTF; KIRITISHDA UZISH
    000A2??? 00063 INTER_INT GA O'TISH
    000B 1A8C 00064 BTFSC PIR1,RCIF; USART INTERRUPT (HOME TERMINAL INTERRUPT)
    000C2??? 00065 INTER_USART KETISH
    00066;
    00067 ;INT ORQALI UZISHNI ANGILASH
    00068;
    000D 00069 INTER_INT
    00070BANC0
    000D 1283 M BCF STATUS, RP0
    000E 1303 M BCF STATUS, RP1
    000F 1A86 00071 BTFSC PORTB.5; Elektr quvvatining uzilishi
    0010 2??? 00072 INTER_PIT GA O'TISH
    0011 1809 00073 BTFSC PORTE.0; Signal Sensorining uzilishi
    0012 2??? 00074 INTER_AVD GA O'TISH
    0013 1C07 00075 BTFSS PORTC,0; PU dan uzilish
    0014 2??? 00076 INTER_PU GA O'TISH
    00077;
    00078 ;ENERGAT TA’MINOTIDAN UZISH
    00079
    0015 00080 INTER_PIT
    0015 108B 00081 BCF INTCON,INTF; BAYROQNI SOZLASH
    MPASM 5.54 NEWPIC_8B_SIMPLE.ASM 12-8-2014 7:44:43 3-SAHIF
    LOC OBYEKT KODI LINE MANBA MATN QIYMATI
    00082 BANC0
    0016 1283 M BCF STATUS, RP0
    0017 1303 M BCF STATUS, RP1
    0018 1606 00083 BSF PORTB,4; 1 ON Y5
    0019 018F 00084 CLRF TMR1H; TMR1HNI TOZLASH
    001A 018E 00085 CLRF TMR1L; TMR1LNI TOZLASH
    001B 30FF 00086 MOVLW 0xFF; T1 QIYMATNI TMR1 30µS KIRISH
    001C 008F 00087 MOVWF TMR1H; TMR1H RO‘YXATTIGA YUQORI BAYT YOZING
    001D 3069 00088 MOVLW 0x69
    001E 008E 00089 MOVWF TMR1L; TMR1L RO‘YXATTIGA KAM BAYT YOZING
    001F 1410 00090 BSF T1CON, TMR1ON; Taymerni ishga tushirish TMR1
    0020 00091 T5_WAIT1
    0020 1C0C 00092 BTFSS PIR1, TMR1IF
    0021 2??? 00093 GOTO T5_WAIT1
    0022 140C 00094 BSF PIR1, TMR1IF; TMR1 TOSHILGAN BAYROQNI SOZLASH
    0023 1206 00095 BCF PORTB,4; 0 ON Y5
    0024 30FF 00096 MOVLW 0xFF; T1 QIYMATNI TMR1 30µS KIRISH
    0025 008F 00097 MOVWF TMR1H; TMR1H RO‘YXATTIGA YUQORI BAYT YOZING
    0026 3069 00098 MOVLW 0x69
    0027 008E 00099 MOVWF TMR1L; TMR1L RO‘YXATTIGA KAM BAYT YOZING
    0028 1410 00100 BSF T1CON, TMR1ON; Taymerni ishga tushirish TMR1
    0029 00101 T5_WAIT2
    0029 1C0C 00102 BTFSS PIR1, TMR1IF
    002A2??? 00103 GOTO T5_WAIT2
    002B 140C 00104 BSF PIR1, TMR1IF; TMR1 TOSHILGAN BAYROQNI SOZLASH
    002C 1606 00105 BSF PORTB,4; 1 ON Y5
    002D 30FF 00106 MOVLW 0xFF; T1 QIYMATNI TMR1 30µS KIRISH
    002E 008F 00107 MOVWF TMR1H; TMR1H RO‘YXATTIGA YUQORI BAYT YOZING
    002F 3069 00108 MOVLW 0x69
    0030 008E 00109 MOVWF TMR1L; TMR1L RO‘YXATTIGA KAM BAYT YOZING
    0031 1410 00110 BSF T1CON, TMR1ON; Taymerni ishga tushirish TMR1
    0032 00111 T5_WAIT3
    0032 1C0C 00112 BTFSS PIR1, TMR1IF
    0033 2??? 00113 GOTO T5_WAIT3
    0034 140C 00114 BSF PIR1, TMR1IF; TMR1 TOSHILGAN BAYROQNI SOZLASH
    0035 1206 00115 BCF PORTB, 4; 0 dan Y5 gacha (pulsning shakllanishi 30µs - "1", 30µs - "0", 30µs - "1")
    0036 3021 00116 MOVLW "!"
    0037 0099 00117 MOVWF TXREG; XARAKTER KODINI SERIAL KANALGA UZATISH
    "!"
    00118BANC1
    0038 1683 M BSF STATUS, RP0
    0039 1303 M BCF STATUS, RP1
    003A 1698 00119 BSF TXSTA, TXEN
    00120BANC0
    003B 1283 M BCF STATUS, RP0
    003C 1303 M BCF STATUS, RP1
    003D 1E0C 00121 BTFSS PIR1, TXIF
    003E2??? 00122 GOTO $-1; TRANSMITTER BAYROQNI TEKSHIRISH
    MPASM 5.54 NEWPIC_8B_SIMPLE.ASM 12-8-2014 7:44:43 4-sahifa
    LOC OBYEKT KODI LINE MANBA MATN QIYMATI
    00123BANC1
    003F 1683 M BSF STATUS, RP0
    0040 1303 M BCF STATUS, RP1
    0041 1298 00124 BCF TXSTA, TXEN
    00125BANC0
    0042 1283 M BCF STATUS, RP0
    0043 1303 M BCF STATUS, RP1

    Download 34.17 Kb.




    Download 34.17 Kb.

    Bosh sahifa
    Aloqalar

        Bosh sahifa



    Toshkent axborot texnologiyalari universiteti qarshi filiali individual loyiha

    Download 34.17 Kb.